VHDL with select when error
问题 VHDL is the worst designed language with the worst syntax that I have ever encountered. Why does this with-select-when code give me an error?: library ieee; use ieee.std_logic_1164.all; entity mux48 is port( mux48dv0:in std_logic_vector(7 downto 0); mux48dv1:in std_logic_vector(7 downto 0); mux48dv2:in std_logic_vector(7 downto 0); mux48dv3:in std_logic_vector(7 downto 0); mux48sv:in std_logic_vector(3 downto 0); mux48ov:out std_logic_vector(7 downto 0) ); end mux48; architectre mux48_df of