VHDL: Unable to read output status
问题 I'm attempting to compile in ModelSim 10.0 and I receive a compile error stating: 'Cannot read output status'. Here's a snippet of the code. It'd be brilliant if someone could tell me what I'm doing wrong. entity controller_entity is generic( entryCount : positive := 2; ....); port( clk : in std_logic; .... entry_car_entered : out std_logic_vector(0 to entryCount-1) ); end entity controller_entity; architecture controller_v1 of controller_entity is signal cars_entered : std_logic_vector(0 to