xilinx

220-K7板卡学习资料:基于Xilinx Kintex-7 XC7K160T 的CameraLink转四路光纤数据转发卡

放肆的年华 提交于 2020-01-19 15:00:18
基于Xilinx Kintex-7 XC7K160T 的CameraLink转四路光纤数据转发卡 一、板卡概述 该板卡是一款CameraLink(Full)转4路光纤接口板,可以实现1路CamerLink Full模式的图像信号转换成4路SFP+万兆光纤接口,板卡具有1个千兆以太口,具有1个RS232/RS422/RS485通讯接口,板卡具有双路指示灯。板卡具有较低功耗,可以用在视频图像传输等场景。 二、功能和技术指标 板卡主控芯片采用Xilinx的Kintex-7系列FPGA,具体型号为:XC7K160T-2FFG676。 4路万兆光纤网络接口, 大支持10Gbps/lane线速率。宽温SFP+接口,支持工业级温度范围:-40°~+85°。 板载1组64位2GByte DDR3 SDRAM内存,可实现800MHz时钟速率的高速数据缓存,理论带宽高达6.4GByte/s,DDR3 SDRAM读写效率高达90%。 板卡具有1路千兆以太网口。 板卡具有1路RS422接口,可灵活配置成RS232或者RS485模式。 板卡预留1个子卡接口,可根据需求扩展不同的子卡。 板载1片64MByte SPI Flash,用于FPGA的加载。 板卡具有4个LED指示灯,前面板出,方便调试和状态显示。 板卡具有JTAG调试接口。 板卡采用+12V供电 板卡所有芯片均采用工业级温度标准。 板卡尺寸

syntax error: unexpected end of file when running source

旧时模样 提交于 2020-01-17 08:16:47
问题 I have been trying to source this script from Xilinx install but it outputs an error. source /opt/Xilinx/14.7/ISE_DS/settings32.csh # Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. set SETTINGS_FILE=.settings32.csh set XIL_SCRIPT_LOC="/opt/Xilinx/14.7/ISE_DS" if ( $# != 0 ) then # The first argument is the location of Xilinx Installation. # Don't detect the installation location. set XIL_SCRIPT_LOC="$1" else # XIL_SCRIPT_LOC should point to script location set XIL_SCRIPT_LOC_TMP

syntax error: unexpected end of file when running source

我是研究僧i 提交于 2020-01-17 08:16:06
问题 I have been trying to source this script from Xilinx install but it outputs an error. source /opt/Xilinx/14.7/ISE_DS/settings32.csh # Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. set SETTINGS_FILE=.settings32.csh set XIL_SCRIPT_LOC="/opt/Xilinx/14.7/ISE_DS" if ( $# != 0 ) then # The first argument is the location of Xilinx Installation. # Don't detect the installation location. set XIL_SCRIPT_LOC="$1" else # XIL_SCRIPT_LOC should point to script location set XIL_SCRIPT_LOC_TMP

syntax error: unexpected end of file when running source

我们两清 提交于 2020-01-17 08:16:02
问题 I have been trying to source this script from Xilinx install but it outputs an error. source /opt/Xilinx/14.7/ISE_DS/settings32.csh # Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. set SETTINGS_FILE=.settings32.csh set XIL_SCRIPT_LOC="/opt/Xilinx/14.7/ISE_DS" if ( $# != 0 ) then # The first argument is the location of Xilinx Installation. # Don't detect the installation location. set XIL_SCRIPT_LOC="$1" else # XIL_SCRIPT_LOC should point to script location set XIL_SCRIPT_LOC_TMP

Xilinx FPGA 仿真环境设置(ISE + Modelsim + Debussy)

别说谁变了你拦得住时间么 提交于 2020-01-16 23:16:09
目的:使用ISE调用modelsim进行仿真,并使用debussy查看仿真波形 准备: 安装ISE、Modelsim和Debussy软件 将C:\modeltech_6.5a\modelsim.ini设置为可写,并在该文件中添加Veriuser = novas.dll 将C:\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll拷贝至C:\modeltech_6.5a\win32 准备rtl/testbench/model等设计文件,并在testbench加入 initialbegin $fsdbDumpfile("filename_you_want.fsdb"); $fsdbDumpvars;end ISE 新建ISE工程,选择Modelsim SE仿真器,添加rtl/testbench/model等设计文件 在ISE左侧进入Design标签,在左上角选择Simulation,在下面Hierarchy列表中选择FPGA器件名,在下面Process列表中运行Compile HDL Simulation Libraries,如果有必要的话也得运行Regenerate All Cores 在Hierarchy列表中选择testbench的Top模块,在Process列表中的Simulate Behavioral

modelsim10.0C编译ISE14.7的xilinx库(xilinx ip核)

家住魔仙堡 提交于 2020-01-16 23:06:14
1.打开D:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\compxlibgui.exe,nt64表示系统是64位,如果是32位,换成nt,然后按照界面所示一步一步执行, 2.修改modelsim.ini,将其属性修改为可写,然后将(注意第一步中我只将verilog的库文件编译了) cpld_ver = D:\Xilinx\14.7\ISE_DS\ISE\verilog\mti_se\10.0c\nt64\cpld_ver secureip = D:\Xilinx\14.7\ISE_DS\ISE\verilog\mti_se\10.0c\nt64\secureip simprims_ver = D:\Xilinx\14.7\ISE_DS\ISE\verilog\mti_se\10.0c\nt64\simprims_ver uni9000_ver = D:\Xilinx\14.7\ISE_DS\ISE\verilog\mti_se\10.0c\nt64\uni9000_ver unimacro_ver = D:\Xilinx\14.7\ISE_DS\ISE\verilog\mti_se\10.0c\nt64\unimacro_ver unisims_ver = D:\Xilinx\14.7\ISE_DS\ISE\verilog\mti_se\10.0c\nt64

xilinx软件ISE14.2+modelsim10.1a联合仿真自定义IP核过程

。_饼干妹妹 提交于 2020-01-16 23:02:46
这个五一也算轻松过了,偶尔打开软件瞅瞅,或者干脆就完游戏去了,反正放假嘛。。也是零零散散的弄了下modelsim+ISE联合仿真的东东。相信网上的资料也不少,不过自己还是捣鼓了半天才弄好的。说道联合仿真,当时避免不了编译xilinx的各种库,即使现在用到不到,以后也是要用到的哇。。首先就的从这里下手。我这个新手肯定喜欢图形界面的编译工作的,所以 第一步:Xilinx ISE Design Suite 14.2 -> ISE Design tools-> 32bit tools-》Simulation Library Compilation Wizard.选定ModelSim 的版本,以及指定ModelSim 的安装路径,选择Both VHDL and Verilog,选择支持哪些系列的芯片,看自己需要增减,我还是全选上了,免得粗什么岔子,然后就是选择时序和功能仿真的库,我也都选上了。指定编译完后的库存放位置,这里作者在modelsim 安装目录下新建了xilinx_lib 的文件夹,并指定到这里。(注意不要指向带空格的路径),这个最好自己指定文件夹,不然默认的文件夹用的宏定义那种的方式,貌似不止一个。感觉有点麻烦,然后编译,坐等编译结束吧。 第二步:、右键打开modelsim 目录下的modelsim.ini 文件,先将其“只读”属性去掉。然后用记事 本打开。在[Library

vhdl: Xilinx code error

梦想与她 提交于 2020-01-16 19:27:48
问题 We get this error set: Line 23: Mismatch in number of elements assigned in conditional signal assignment Line 23: Expression has 1 elements ; expected 7 With this code, line 23 is Q_out <= "1111110" when Q_in = "0000" else library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity decoder is Port ( Q_in : in UNSIGNED (3 downto

How to generate delay in verilog using Counter for Synthesis and call inside Always block?

余生颓废 提交于 2020-01-14 06:34:10
问题 I want to generate delay using counter, actually here I use counter to generate delay after each 1 Bit transfer, so that its better understand externally on fpga pin from which by SPI(serial) LCD is connected with it. Therefore I had created a shift register which shift 1 bit then gives delay then next bit(Bit-Delay-bit-delay..). Here is my code of counter: module spidelay( input wire clk, input wire enb, output reg sclkout ); reg [23:0] stmp; always @(posedge clk) begin if ( enb == 1 ) begin

SysFs interface. I can't export gpio pins in a Xilinx's Board (Zybo and other)

老子叫甜甜 提交于 2020-01-14 02:30:26
问题 Using a linux-kernel compiled as it is described here, I'm trying to make a led blinking following this wiki: Linux GPIO Driver. I'm working with a Zybo-board of Xilinx. I enabled the kernel options: CONFIG_GPIO_SYSFS=y CONFIG_SYSFS=y CONFIG_GPIO_XILINX=y I checked that I have mounted in /sys the SysFs I want to configure the pin 7 of the MIO port because it is attached to the led LD4 in the board. So I used this expression: echo 7 > /sys/class/gpio/export And I always obtain this error: