Icarus

全平台轻量开源verilog仿真工具iverilog+GTKWave使用教程

妖精的绣舞 提交于 2020-08-18 23:28:55
前言 如果你只是想检查Verilog文件的语法是否有错误,然后进行一些基本的时序仿真,那么Icarus Verilog 就是一个不错的选择。相比于各大FPGA厂商的IDE几个G的大小,Icarus Verilog 显得极其小巧,最新版安装包大小仅有17MB,支持全平台:Windows+Linux+MacOS,并且源代码开源。本文将介绍如何使用Icarus Verilog来进行verilog文件的编译和仿真。 关于 Icarus Verilog Icarus Verilog是一个轻量、免费、开源的Verilog编译器,基于C++实现,开发者是 Stephen Williams ,遵循 GNU GPL license 许可证,安装文件中已经包含 GTKWave支持Verilog/VHDL文件的编译和仿真,命令行操作方式,类似gcc编译器,通过testbench文件可以生成对应的仿真波形数据文件,通过自带的GTKWave可以查看仿真波形图,支持将Verilog转换为VHDL文件。 iverilog的安装 iverilog安装时,默认会把GTKWave一起安装,用于查看生成的波形图。 iverilog支持Windows、Linux和MacOS三大主流平台,截止2019年12月1日,最新版本v11-20190809下载: http://bleyer.org/icarus/iverilog

hugo搭建个人博客

本秂侑毒 提交于 2020-03-21 16:35:30
3 月,跳不动了?>>> 额,折腾起来,搭建一个个人博客玩一下 1. 下载安装hugo 官网下载地址 https://github.com/gohugoio/hugo/releases 我们根据自身情况选择下载 我是win64位的,所以就用win64进行演示了 1.1 将安装包解压到指定目录 2. 配置环境变量 为什么要配置环境变量呢? 因为我们把hugo.exe解压到了D:\dev\hugo下面。hugo命令只能在该目录下才能识别。 如果我们想把博客目录建到其它目录下,就要配置环境变量。 2.1 步骤1:鼠标右键->属性 2.2 步骤2:点击高级系统设置 2.3 步骤3:点击环境变量 2.4 步骤4:选中path,点击编辑 2.5 步骤5:点击新建 2.6 步骤6:Hugo.exe目录,配置ok后点击确定,一路确定 3.hugo新建博客 3.1 打开cmd命令行 方式一: 快捷键:win+R键 win就是你的键盘上的那个四个格格 方式二: 通过电脑搜索cmd 3.2 输入如下命令 命令: hugo new site /path/to/site 比如 hugo new site E:/hugo/myBlogger 就会在E盘的hugo文件夹下新建一个叫myBlogger的hugo站点 3.2 hugo新建页面和文章 3.2.1 新建一个links页面 命令: hugo new

Verilog 4-bit up-down counter designed using negative edge triggered T flip flops

喜欢而已 提交于 2019-12-12 02:56:02
问题 I'm very new to Verilog HDL and I have to code this 4bit up down counter. With the help of some reading on up-down counters and t flipflops, I already made the following code: module up_down_4bitcounter ( out, up_down, clk, data, reset ); //Output Ports output [3:0] out; //Input Ports input [3:0] data; input up_down, clk, reset; //Internal Variables reg [3:0] out; //Start of Code always @(negedge clk) if (reset) begin // active high reset out <= 4'b0 ; end else if (up_down) begin out <= out +

Icarus verilog dump memory array ($dumpvars)

女生的网名这么多〃 提交于 2019-12-10 16:13:55
问题 I try to dump an array (reg [31:0] data [31:0]) but I can't do it successfully. I've tried the way that is in the iverilog wiki: integer idx; for (idx = 0; idx < 32; idx = idx + 1) $dumpvars(0,cpu_tb.cpu0.cpu_dp.cpu_regs.data[idx]); It works, but 2 things happen. A warning shows up: VCD warning: array word cpu_tb.cpu0.cpu_dp.cpu_regs.data[0] will conflict with an escaped identifier. In GTKWave I have something like this in SST window: \data[0][31:0] Is there any solution about that? Thanks in

搭建自己的技术博客系列(三)让你的博客拥有评论功能!

早过忘川 提交于 2019-11-29 14:02:48
给大家介绍一个博客评论神器,Valine。 本来hexo博客用的是gitment,我也非常喜欢,看着逼格就超高呀。无奈我用着bug略多,而且毕竟有github账户的小伙伴似乎并不多。于是我就忍痛准备换评论系统。然后在最近刚刚加入的hexo博客群里,看见了一个神器。也就是本篇主人公——Valine.js。 具体配置就见如下的文章吧。它的定义—— 一款极简的无后端评论系统。 在多说和网易云跟帖相继倒闭的情况下,这个简直是救人一命胜造七级浮屠呀。 Valine -- 一款极简的评论系统 Valine官网 这个评论系统是基于LeanCloud的,大家应该对这个很熟悉,对,Hexo的博客阅读量统计也是它。官网网址如下,需要注册一个账户。 Leancloud配置 首先访问Leancloud官网 https://leancloud.cn/ 有Github账号的小伙伴可以用Github账号进行登陆然后绑定邮箱就可以啦! 进入之后点击创建应用 Valine配置 将下载好的 Valine.min.js 放置于 next\source\js\src\ 下 接着,打开valine配置文件进行配置 valine配置文件路径:next\layout_third-party\comments\valine.swig {% if theme.valine.enable and theme.valine.appid