VHDL Syntax error with if then process
问题 library ieee; use ieee.std_logic_1164.all; entity basic_shift_register_with_multiple_taps is generic ( DATA_WIDTH : natural := 8 ); port ( clk : in std_logic; enable : in std_logic; sr_one : in std_logic_vector((DATA_WIDTH-1) downto 0); sr_two : in std_logic_vector((DATA_WIDTH-1) downto 0); sr_out : out std_logic_vector(2*(DATA_WIDTH-1) downto 0) ); end entity ; architecture rtl of basic_shift_register_with_multiple_taps is signal sig_out :std_logic_vector(2*(DATA_WIDTH-1) downto 0); variable