Compiling *.vhdl into a library, using Altera Quartus II

前端 未结 2 786
隐瞒了意图╮
隐瞒了意图╮ 2020-12-21 09:19

I am trying to use \'Floating point and Fixed point package\' as a part of my filter design in VHDL. I am using Altera Quartus II as the development environment. I downloade

相关标签:
2条回答
  • 2020-12-21 09:43

    Using Altera Quartus II GUI you can add the 'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl' files to the project through the "Project Navigator" tab called "Files". See figure below.

    enter image description here

    When you add the files you can specify the target library under "Properties". See figure below.

    enter image description here

    You can also modify the Quartus II settings (".qsf") file for the project, and add the lines:

    set_global_assignment -name VHDL_FILE fixed_float_types_c.vhdl -library ieee_proposed
    set_global_assignment -name VHDL_FILE fixed_pkg_c.vhdl -library ieee_proposed
    set_global_assignment -name VHDL_FILE float_pkg_c.vhdl -library ieee_proposed
    

    However, you may want to determine if compiling into the "ieee_proposed" library is required, and otherwise you can just compile them into the "work" library with other files.

    0 讨论(0)
  • 2020-12-21 09:44

    Just compile them into work as you would any other files in your project and refer to them by use work._library_name_.

    0 讨论(0)
提交回复
热议问题