vhdl can't determine definition of operator “”=“” 2

后端 未结 0 661
南笙
南笙 2020-12-13 10:33
library ieee;
     use ieee.std_logic_1164.all;
     use ieee.std_logic_arith.all;

entity four_bit_counter is
    port (
        count_out : out unsigned (3 downto          


        
相关标签:
回答
  • 消灭零回复
提交回复
热议问题