VHDL error “Actual of mode ”in“ cannot be assigned to formal ”y“ of mode ”out“.”

前端 未结 0 1969
独厮守ぢ
独厮守ぢ 2020-11-27 10:42

I defined 2-to-1 multiplexer as:
ENTITY MUX21 is
PORT(a: in std_logic_vector(1 downto 0);
s: in std_logic; --s is select bit
y: out std_logic);

相关标签:
回答
  • 消灭零回复
提交回复
热议问题