I defined 2-to-1 multiplexer as: ENTITY MUX21 is PORT(a: in std_logic_vector(1 downto 0); s: in std_logic; --s is select bit y: out std_logic);